Question:

Why does fatal error happen?

by  |  earlier

0 LIKES UnLike

while simulating my VHDL code in Model Sim, it stops when it reaches the line:

codeWord <= d_table_99_a(to_integer(total_zeros))(to...

and the parameters are:

codeWord : OUT unsigned (dataArrayLen - 1 DOWNTO 0);

total_zeros : IN unsigned (3 DOWNTO 0);

tzVlcIndex : IN unsigned (3 DOWNTO 0);

TYPE Chroma_2x2_tzVlcIndex IS ARRAY (0 TO 2) of unsigned(2 DOWNTO 0); -- tz

TYPE Chroma_2x2_total_zeros IS ARRAY (0 TO 3) of Chroma_2x2_tzVlcIndex; -- total_zero

CONSTANT d_table_99_a : Chroma_2x2_total_zeros :=

(("001","001","001"),

("001","001","000"),

("001","000","000"),

("000","000","000")

);

and the error is:

# Fatal error at D:/ModelSim/totalZeros.vhd line 148

 Tags:

   Report

1 ANSWERS


  1. This is some crazy VHDL. The most vhdl I did in college was a few liners, but I wasn&#039;t a CE major. Having said that, I don&#039;t know if my suggestion will help any. The fatal error most likely happens because you are attempting to cross a limit. Ie: below 0: make sure dataArrayLen is not 1. Hope that helps.

Question Stats

Latest activity: earlier.
This question has 1 answers.

BECOME A GUIDE

Share your knowledge and help people by answering questions.